1.6nm、晶圆级超级封装、硅光子集成...台积电北美6大技术王炸

来源:爱集微 #台积电# #芯片技术# #硅光子#
7130

台积电于4月末在美国加利福尼亚州举办2024年北美技术论坛,发布其最新半导体制程技术A16(1.6nm)、下一代先进封装和3D芯片技术等6大半导体技术创新,引发业界关注。在全球发展人工智能(AI)的热潮之下,台积电凭借其领先的芯片技术、稳定扩增的产能,成为英伟达等AI芯片的最重要代工厂。

研究机构TechInsights报告显示,台积电2023年总销售额达到692.76亿美元,成为全球半导体产业冠军。摩根大通(小摩)、摩根士丹利等金融服务机构均对台积电的后续发展给出乐观预测,小摩在最新报告中认为,台积电在技术创新和先进封装领域的领先地位,以及在AI时代的关键作用,通过一系列技术突破,有望在未来几年继续保持在半导体产业的领先地位。

以下为台积电在2024北美论坛公布的六大半导体技术:

A16 1.6nm制程技术

台积电A16制程节点是其首个整合纳米片晶体管(nanosheet)以及背面供电技术“Super Power Rail”的节点,特别适合高性能计算(HPC)及人工智能(AI)应用,是台积电N2P制程的迭代。根据台积电此前公布的路线图,N2、N2P 2nm节点定于2025年量产,A16预计将于2026年下半年量产。

与2nm N2P节点相比,A16提高了晶体管密度和能效,在相同Vdd(正电源电压)下可实现8~10%的速度提升;在相同速度下,功耗可以降低15~20%。该技术可以帮助数据中心计算芯片实现1.07~1.10倍的芯片密度。

台积电在北美峰会同时宣布A14工艺节点,预计将采用第二代纳米片晶体管以及更先进的背面供电网络,有望在2027~2028年开始生产,预计不会采用High NA EUV光刻机。

根据路线图,台积电1nm制程A10已在规划中。消息人士于2024年1月透露,台积电将更先进制程的1nm晶圆厂规划在嘉义科学园区,已派人前往目标地块勘测。这一选址离嘉义高铁站车程仅七分钟,往北串起台积电中科、竹科厂,往南串连南科厂及高雄厂,便于工程师通勤交流。

NanoFlex创新纳米片晶体管

台积电即将推出的N2制程工艺将采用NanoFlex创新纳米片晶体管技术,这是该公司在设计与技术协同优化方面的又一突破。NanoFlex为N2制程标准单元提供设计灵活性,其中短小晶体管单元可实现更小的面积和更高能效,而高单元则最大限度提高性能。

客户能够在同一设计内优化小单元和大单元的组合,调整设计,以达到最佳功耗、性能和面积平衡。

N4C制程技术

台积电宣布推出N4C技术,是N4P的迭代,可降低8.5%的芯片成本,计划于2025年量产。该技术提供具有高效面积利用率的基础IP和设计规则,与广泛应用的N4P兼容,缩小芯片尺寸并提高良率,为客户提供高性价比选择。

CoWoS、SoIC和系统级晶圆(TSMC-SoW)

台积电表示,CoWoS先进封装已成为AI芯片的关键技术,被广泛采用,允许客户将更多的处理器内核与HBM高带宽存储堆叠封装在一起。

与此同时,集成芯片系统(SoIC)已成为三维芯片堆叠的领先解决方案,客户正越来越多地将CoWoS与SoIC及其他组件搭配使用,以实现最终的系统级封装(SiP)集成。

台积电宣布推出CoW-SoW封装技术(TSMC-SoW),基于台积电于2020年推出的InFO-SoW晶圆上系统集成技术迭代而成。通过晶圆级系统集成封装技术(SoW),可以在单片12英寸晶圆上制造大型芯片阵列,提供更强算力的同时,减少空间占用,并将每瓦性能提升多个数量级。此前特斯拉的Dojo D1超级芯片,就利用台积电的此类工艺实现,利用单片晶圆实现强大算力。

据悉,特斯拉自研的Dojo D1超级芯片采用台积电7nm制程,并结合InFO-SoW先进封装、垂直供电结构制造而成,用于训练自动驾驶汽车AI大模型。参数方面,每个模组包含5×5总计25颗芯片,每个单芯片包含高达354个核心,因此片上SRAM换从总计达11GB,算力9050TFLOPS。

台积电表示,首款SoW产品——基于集成扇出型封装(InFO)技术的纯逻辑晶圆已投入生产。利用CoWoS技术的CoW-SoW晶圆预计将于2027年问世,届时将可以集成SoIC、HBM和其他组件,创建强大的单晶圆级系统,其计算能力可以与整个机架甚至整个服务器相媲美。这类芯片将拥有巨大的面积,可以集成四个SoIC芯片+12个HBM存储芯片以及额外的I/O芯片,功率可达数千瓦。

硅光子集成COUPE

台积电正在开发紧凑型通用光子引擎(COUPE)技术,以支持人工智能热潮带来的数据传输爆发式增长。COUPE采用SoIC-X芯片堆叠技术,在硅光子芯片堆叠电子芯片,并保证两片芯片之间最低的传输阻抗,能效比传统堆叠方式更高。

台积电计划在2025年将COUPE技术用于小尺寸插拔式设备,速度可达1.6Tbps,相比当前最先进的800G以太网成倍提升。2026年,台积电将其整合入CoWoS封装中,作为共同封装光学器件(CPO)直接将光学连接引入封装中,这样可以实现高达6.4Tbps的速度。第三个迭代版本有望进一步改进,速度翻倍至12.8Tbps。

汽车芯片先进封装

继2023年推出N3AE“Auto Early”制程后,台积电将继续通过整合先进芯片和先进封装,满足汽车客户对更高算力的需求,以及车规级认证的要求。台积电正在为高级辅助驾驶系统(ADAS)、车辆控制和车载中央计算机等应用开发InFO-oS和CoWoS-R解决方案,目标是在2025年第四季度之前获得AEC-Q100 2级认证。

日前台积电法说会之后,大摩预计台积电Q2营收将环比增长5%~7%,并给出860元新台币的目标股价预测。小摩预测台积电今年毛利率维持在52%~54%区间,预计今年年底3nm产能将达到10万片规模,明年将增加到15万片,并给出900元新台币的目标股价。小摩同时预计,台积电在未来3~4年内,在AI芯片的市场占有率仍将维持在90%以上,到2027年AI相关收入占比将升至总营收的25%。

台积电法说会、多场技术论坛过后,给市场释出稳健信号,包括花旗银行、美银证券、瑞银在内的金融机构,均对台积电给出全年营收增长的预测。在人工智能市场需求持续增长的带动下,以及美日芯片工厂新产能的释放,预计台积电未来几年将持续领衔全球半导体产业,并凭借技术实力保持AI芯片领域的龙头地位。

(校对/赵月)

责编: 赵月
来源:爱集微 #台积电# #芯片技术# #硅光子#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...