1+1+1>3!新思科技联手Ansys,金牌签核解决方案助力三星先进低功耗设计

来源:新思科技 #新思科技# #时序签核# #低功耗#
2.1w

1、该联合解决方案基于新思科技的行业金牌PrimeTime签核技术和Ansys 的RedHawk-SC电源完整性签核技术,能够防止动态电压降引起的时序失效并尽可能减小时序悲观性

2、该解决方案是新思科技和Ansys长期合作的新成果,旨在通过高集成的技术提升设计优化效果

3、三星晶圆厂(以下简称为“三星”)采用该解决方案,证实了时序分析与芯片实测结果具有高度一致性

新思科技近日宣布与Ansys联合开发的电压时序签核解决方案已获三星采用,用以加速开发其具有理想功耗、性能和面积(PPA)的高能效比设计。该联合解决方案集成了包括新思科技PrimeTime®静态时序分析解决方案、新思科技PrimeShield™设计稳定性解决方案、新思科技Tweaker™ ECO收敛解决方案以及Ansys® RedHawk-SC™数字电源完整性签核解决方案在内的黄金签核技术,并提供业界领先的准确性和吞吐量,可节省数周的设计时间。

三星采用该解决方案,成功验证了时序分析与芯片实测结果的高度一致性。

“动态电压降和电源完整性是低功耗设计面临的重大挑战。新思科技联合Ansys开发的全新电压时序签核解决方案显示了与芯片实测结果的高度一致性,在准确评估动态电压降(以下简称为“DVD”)对总线-流水线时序路径的影响方面尤其有效。三星计划将该解决方案部署到先进工艺节点的量产设计中,以防止压降导致的芯片时序故障,并尽可能提高设计能效。”

Sangyun Kim

设计技术团队副总裁

三星电子晶圆厂

在先进工艺节点下,出现的变异性的可能提升,准确延迟计算难度也在增加,DVD和电源完整性分析变得更具挑战性。另一方面,对于DVD违规的时序的不准确预测会导致分析结果与芯片实际结果产生差异,甚至会引发DVD时序故障一些设计团队利用悲降假设或者设计裕度作为变通方案,但这种方法会导致过度设计、次优能效、PPA损失、以及设计收敛周期拖延。全新的解决方案能够捕捉传统分立流程可能错过的真正设计和芯片时序失效,通过减少DVD和时序的悲观性以避免过度修复。

“我们很高兴将与新思科技在加强设计实施方面的长期合作,很拓展到签核领域。通过整合RedHawk-SC电源完整性签核技术和PrimeTime静态时序分析解决方案,Ansys和新思科技为业界提供了先进工艺节点下签核准确性、芯片实测一致性和吞吐量的独特解决方案,以加速产品上市时间并提高设计质量。”

John Lee

Ansys副总裁

电子与半导体事业部总经理

PrimeTime和PrimeShield解决方案首先对DVD敏感的关键路径进行检测,并将数据共享给RedHawk-SC,由此生成关键路径感知定向场景和向量,以执行准确的DVD分析。RedHawk-SC解决方案能够提供高保真度、特定实例的分段线性VDD和VSS波形作为PrimeTime输入,而PrimeTime将采用其先进波形传播引擎,计算出高精度的包含电压降的时序结果。

“通过与Ansys的紧密合作,我们解决了行业中棘手的时序签核挑战,协助开发者减少迭代,提前数周实现能效和PPA目标。新思科技PrimeTime解决方案已证实与HSPICE仿真时序的误差小于3%,准确性业界领先,而RedHawk-SC基于云的架构能够提供全芯片分析的速度与容量。从早期的集成RedHawk Analysis Fusion与IC Compiler™II布局和布线解决方案和Fusion Compiler™ RTL-to-GDSII解决方案,我们双方的合作不断拓展,持续致力于赋能开发者,满足其严格的电源完整性要求,以实现更优PPA目标。"

Shankar Krishnamoorthy

新思科技芯片实现事业部总经理

责编: 爱集微
来源:新思科技 #新思科技# #时序签核# #低功耗#
THE END
关闭
加载

PDF 加载中...