【芯视野】只针对GAA? EDA禁售可能带来迄今最大冲击

来源:爱集微 #芯视野# #EDA# #GAA# #芯片设计#
6.7w


集微网报道 美国提升出口限制,要将EDA工具列为对华禁售对象,这条新闻在昨日的半导体行业圈中广为传播。

消息源自美国protocol网站的报道“The US is ready to block China’s access to advanced chip design software”,内容是美国准备对用于设计半导体的特定类型EDA工具实施新的出口限制,旨在为了减缓中国制造先进芯片的能力。

没有EDA就没有当代的芯片设计,而美国EDA公司在整个行业中占据了绝对的统治地位,所以消息如果属实,这对国内正在向高端产品过渡的芯片业将带来很大的冲击。不过,这段消息中又只提到了GAA工艺,看似打击面又并不算大。

但是,在仔细解读这段新闻并同行业人士交流之后,我们发现事情本身并不简单。

GAA是否很遥远?



如上图所示,这篇报道的关键在于,“该规则将有效阻止EDA软件的出口,这些软件用于制造大算力芯片所必需的Gate-all-around(环绕栅极,GAA)技术”。

GAA工艺的特点是实现了晶体管栅极对沟道的四面包裹,源极和漏极不再和基底接触,由此突破FinFET不能逾越的技术障碍,使先进工艺向更小的节点前进。

三星公司率先在3nm节点引入GAA工艺。7月25日,据韩国经济新闻报道,三星电子的全球首批3nm芯片产品已经出厂。这批使用GAA工艺的芯片将用于HPC中,三星还计划与主要合作商携手将其扩至移动SoC等多种产品群。

虽然台积电要在2nm工艺才转向GAA,但是三星量产的成功,实质上就将GAA工艺与3nm联系在了一起。禁售与GAA相关的EDA工具,等于在3nm工艺节点给国内芯片设计公司设置了路障

国内的芯片设计目前已经达到了怎样的水平?按照公开的信息,除比特大陆推出了5nm矿机芯片,阿里平头哥发布了5nm服务器芯片倚天710之外,其他公司的5nm芯片还在规划和研发阶段。

国内云集于AI芯片、网络芯片、CPU/GPU/DPU、矿机ASIC领域的玩家众多,他们对5nm和更先进工艺有着切实的要求。但是5nm芯片的设计难度和高达上亿元的流片费用,也不是任何人随便都可以应对的。更不用说,国内其他芯片公司大多还停留在成熟工艺上。因此,以3nm来设限,似乎对国内行业目前的整体影响并不大。

有GAA专用EDA吗?

3nm也许遥远,但困惑仍然存在,禁用GAA相关的EDA工具是否会波及到所有EDA工具

使用先进工艺的数字芯片(涉及到算力,AI芯片、CPU/GPU/DPU、矿机ASIC皆属于此类)设计可简单划分为前端设计(逻辑设计)和后端设计(物理设计),两者没有统一严格的界限,一般将涉及到与工艺有关的设计称为后端设计,其中包括了布局布线、寄生参数提取、版图物理验证等步骤,所使用的EDA工具需要和工艺结合紧密。

对于系统前端的设计和验证影响不大,因为并不直接和工艺打交道”,鹏城实验室研究员,中国科学院大学和浙江大学兼职教授陈春章博士认为,“而数字芯片的后端设计部分,就会针对GAA等先进工艺进行调试,所以这部分EDA工具受到的影响最大,而且最昂贵,最不好做,国内基本上没有公开的解决方案。”

另有业内人士也表示,“(禁售)不会影响到所有EDA工具,因为工艺上的差别,主要体现在device(器件)的层面上,寄生参数抽取部分肯定有影响,OPC可能也有,前端的设计验证影响会比较小。”

不过,业内也有不同的看法,因为当代EDA工具已经贯穿了IC设计的全流程,从仿真、综合到版图,以及后面的工艺制造,形成了密不可分的整体。“GAA只是晶体管的一种实现方法、器件工艺,但是晶体管是用来构成集成电路的,因此所有赋能以GAA为实现的集成电路设计工具都会被涉及,这样前后端的所有工具都会被波及。”一位业内资深人士李程(化名)表示

随着先进工艺升级的难度不断加大,EDA行业与晶圆代工厂之间就要形成更好的开发协同工作模式。为解决先进工艺技术(涉及更高的晶体管密度和利用率、设计规则和可布线性以及更高的可变性)引起的复杂性,优化型解决方案对于实现新节点成功至关重要。工艺工具和IP要差不多同时和晶圆厂新产线去配合做新工艺研发,设计工具稍晚,但也会在试产早期阶段介入。

当EDA工具与工艺结合度不断提高的时候,就很难划分清哪些是与GAA相关的,哪些又是无关的。因此,全EDA工具被波及的概率还是很大的。

做好最坏打算

要评估EDA禁售的影响,就要看EDA的商业模式。

EDA公司的盈利主要来自软件license的授权。在国内。EDA公司还根据出售的EDA工具的license个数进行收费,而且每个license通常是三年起售,不同的EDA工具单价差异非常大。由于数字后端布局布线工具的复杂度是最高,工作量也是在芯片设计当中是最大的,所以license单价是最贵的。

由于工艺的不断更新,EDA工具也要相应得到更新,假如某公司已经买了未来3年的license,而禁售令突然生效,该公司也很难得到后续的升级版本。

最大的麻烦还不在这里。有业内人士告诉集微网,EDA公司从16nm以后就开始为每一个工艺节点进行升级,“如果你只买了7nm的版本,就得不到5nm、3nm的版本,也不再得到技术支持。”

EDA公司的技术支持对芯片研发至关重要,特别是面对新工艺的情况下。陈春章表示,“有些设计问题,如果出在工具本身,是没办法解决的,如果是设计上碰到的大问题,没有EDA公司的帮助,也很难绕过去。”

即使拥有经验丰富的工程师,想通过使用旧版本的EDA工具来设计新工艺下的芯片,也可能会面临着一种极端的情况。“用户的软件可能都启动不了,因为缺失了新版本中的某些模块。”这位业内人士表示。

因此,对于国内半导体行业来说,切不可因GAA看似遥远而掉以轻心。“既然已经对EDA下手,这就会是一个常态,美国政府随时可以根据需要来下手,因为这次同时还要威慑设备厂商,所以波及范围会很广。”李程最后表示。

据报道,出口禁令可能很快就会到来,在未来几天或几周内,取决于最终细节的制定。对国内芯片业来说,虽然也在意料之中,但还要做最坏的打算。(校对/李映)

责编: 张轶群
来源:爱集微 #芯视野# #EDA# #GAA# #芯片设计#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...