台积电、三星和英特尔代工谁更强?揭开背后复杂的评判标准

来源:爱集微 #台积电# #英特尔# #三星#
2.7w

集微网消息,价值数十亿美元的晶圆厂在领导地位的竞争上变得越来越错综复杂,导致现在很难确定哪家公司处于领先地位,因为其中需要权衡的因素太多。分析机构semiengineering对此作出了详细解读。

这在很大程度上反映了前沿客户群的变化和对特定领域设计的推动。在过去,像苹果、谷歌、亚马逊和Meta这样的公司购买了最快的商业处理器。但在过去五年中,这些系统公司一直在雇用半导体硬件和软件工程师团队,为特定的数据类型定制架构,以便大大超过通过扩展获得的性能和功率。

这并没有阻止台积电、三星和英特尔继续缩小芯片尺寸,他们的路线图已经延伸到1.x纳米范围。但是,这已经改变了他们竞争的动力。领导地位不再是局限于工艺几何学。下一代技术包括从新型晶体管、互连材料和结构以及电源传输方案等很多方面。在某些情况下,无论是硬件、软件的可编程性,还是在大批量应用中创建衍生设计的更简单的方法,可能都需要更灵活。面临的其他情况可能是在一个视网膜大小的芯片上能容纳多少个晶体管的问题。

尽管成本上升,功率和性能优势减少,但工艺扩展仍然很重要。然而,并不是每个应用都需要它,它只是决定市场领导地位的众多因素之一。事实上,无论何时,对处于领先地位的公司的选择评判可能需要其产品的电子序列,而不仅仅是依靠其制造工艺。而且,对于客户或客户的特定设计来说,他们彼此看重的东西可能相差迥异。

“有很多问题需要解决,比如如何在系统层面上进行设计,如何对所有东西进行分区,并将其集中起来,”台积电业务发展高级副总裁Kevin Zhang说。“但这些也代表了一个机会。整个行业需要找出一种方法来把事情做得更好。我们必须重新思考未来的系统设计,以及如何更好地划分这些东西。未来,你会看到系统级的方法变得越来越重要,而不是仅集中在单个芯片层面,这涉及到从软件和软件架构各个方面。你可能会看到越来越多的重要参与者成为半导体客户。”

imec高级研究员兼3D系统集成项目主任Eric Beyne也指出了类似的变化。他说:“如果你看一下尖端,想要速度快、低功耗和标准类型的连接,”他说。“这对于大多数人来说是可以做到的。但是现在有AMD、英特尔、谷歌等大公司,他们希望产品比隔壁商店卖的更好一点。他们希望得到调整改良过的版本,或者一个干净整洁的界面,因为自己有能力用不同的方式来实现。”

例如,在人工智能培训应用中,目标是将尽可能多的计算元素,通常是同质的,堆积在一块硅片上。相比之下,在智能手机中,图像处理等功能需要更多的逻辑,但不是所有逻辑都需要装在同一个芯片上。而在AR/VR眼镜等应用中,热限制和性能要求非常苛刻,而且因使用情况而异,因此公司正在尝试各种不同的架构,从平面芯片到具有复杂热管理的3D-IC架构。

简而言之,一个尺寸无法适用所有架构,这正从根本上改变了代工业务的动态。联电和革新在14纳米研究中退出了规模化竞争(尽管GF后来转向了12纳米),开始专注于各种专业市场,如汽车和5G。从那时起,这两家公司一直满负荷运行,并计划增加产能。在EDA和制造设备公司的帮助下,他们正逐渐扩大在成熟节点上可以做到的事情。

“设备供应商相当投入,”格芯技术和研究高级副总裁Gregg Bartlett说。“Applied Materials公司创建了ICAPS(物联网、通信、汽车、电力和传感器)业务部门,专门负责那些非个位数纳米相关的技术,包括宽带隙材料、复合半成品和CMOS图像传感器有关的工具能力。他们的离子注入需要极低的金属含量。因此,摩尔定律所要求的设备能力并没有下降,而且它们已经变成了新的要求。对于剩下75%的市场需要的东西,有完整的路线图。”

即使在前沿节点上,工艺也变得大不不同,彼此之间难以比较,其中有一部分取决于终端市场。三星和台积电继续在消费电子和个人电脑上相互争夺。与此同时,英特尔继续把重点放在服务器芯片上,与台积电互争高低,但它目前也偏向为军事/航空应用开发先进节点芯片。所有这些公司都涉足其他市场,随着客户需要的定制解决方案越来越多,这些市场就会继续分裂。

因此,每个晶圆厂都在发挥其核心市场的作用,同时在预算和机会允许的情况下向其他市场扩展。三星正在向3纳米的全栅极FET领域发展,而台积电和英特尔计划在3纳米坚持使用finFET,在2纳米转向GAA FET。所有这些公司都在针对这些节点以及很多半节点开发特殊工艺。

这在很大程度上反映了无晶圆厂公司财大气粗的需求,它们希望用最小的功率实现最大的性能。在这个世界上,成本需要放到一个系统或多个系统的背景下考虑。冷却更少的服务器机架可以在更小的空间内做更多处理,而且速度更快,这种经济性使得在最先进的节点上从头开始设计芯片更容易被大众接受。

谷歌与特斯拉两者的服务器处理架构看起来差别很大。虽然两者都可能包含5纳米或3纳米的逻辑,但它们是为不同的数据类型、内存和I/O配置以及不同的数据处理方式和地点、需要保留的数据多少以及存储位置的优先级而定制的。

在这种情况下,工艺技术和晶体管类型仍然很重要,但它们不一定是使芯片运行更快或者功率耗费减少的决定因素。事实上,用下一个晶体管技术来获得最先进的节点不再确保获胜的公式。虽然历史上一直从密度的角度来看待工艺领先性,但这只是先进封装中日益异质化的芯片或集合chiplet的组成部分。仅仅因为一个芯片使用了3纳米工艺,并不能确保它在某一特定应用中以较低的功率运行得比5纳米逻辑芯片更快,后者可能与神经处理单元、CPU和GPU一起封装。此外,如果需要更新或改变算法,而且没有内置的可编程性,那么随着时间的推移,它的性能表现可能不会很好。

这在不同代工厂的路线图中是有据可循的。虽然有一些相似之处,但也存在明显差异,而且这些差异可能会随着时间的推移而扩大。

三星

三星晶圆厂预计将在今年晚些时候或明年推出SF3E(3纳米)工艺技术,该技术基于其称之为MBCFET的全门控晶体管,速度会提高23%,功耗降低45%。三星将率先在市场上推出GAA FET,它在最先进的节点上比finFET更好地控制电流泄漏,基本上能够完全关闭晶体管,而不是看着电池在关闭时慢慢耗尽。该公司还将为移动市场增加SF4E、4、4P。预计在明年某个时候,4P将使用4纳米工艺和新的中间技术帮助性能提升1.19倍。

对于最先进的节点,最大的担忧之一就是热量。GAA FET将在一定程度上帮助解决这个问题,但芯片利用率的提高和更高的动态功率密度会使热量滞留在垂直结构之间。一般来说,有两种方法来处理这个问题,首先是物理冷却,使用散热器或某种形式的热传导到液体中,或在内部使用微流控技术,第二种是降低各个部件的阈值电压。

三星电子产品规划副总裁Indong Kim说:“如果你能用较低的阈值电压降低功耗,就能减少限制多个性能的功率耗散。”

一个相关的挑战是,内存需要满足最低电压才能正常工作,所以电压需要升高和降低,以使其发挥作用。三星拥有一个明显优势,可以自己制造内存,DRAM(包括HBM)、NAND、SRAM和STT-MRAM,因此它有能力在内部进行这方面的实验。它甚至已经开发出内存计算能力。这一点很重要,因为降低电压会增加对各种类型噪音的敏感性,在构建先进的芯片时需要考虑到这些因素。三星公司内存销售执行副总裁Jim Elliott说,通过在DRAM中使用FinFETs,功率可以缩减到0.9伏以下。

三星还在开发各种桥接技术,包括嵌入式桥接,以及它所说的“RDL插接器”。2025年,该公司还希望增加背面电力输送,这将有助于缓解芯片内部的拥堵。这种方法吸引人的地方在于,在极其密集的3D晶体管结构中减少拥堵,并注重在再分布层中做更多的工作,而再分布层在过去主要被看做是机械基础。

三星可能会在其不同的产品线中使用内部开发的各种芯片,包括汽车、移动/消费、物联网和HPC/AI。三星执行副总裁Moonsoo Kang说:“这是代工的整体设计平台。”

台积电

尽管GAA FET减少了漏电问题,但台积电认为在其所谓的N3阶段能获得很多好处,因此将该技术的引入推迟到N2阶段。研发部高级副总裁Yuh Jier Mii说,在相同的功率下,N3比N5多提供18%的性能改进,在相同的性能下减少34%的功率消耗。在N2阶段,当纳米片被引入时,将实现约10%到15%的性能提升,或25%到30%的功率降低。

Mii还指出了纳米片的后续技术,即互补型FET,这也是三个主要代工厂可能采取的途径。目前还不清楚的是,究竟会在什么时候实现,或者是否会有IMEC开发的临时技术,称为叉片式FET。

台积电一直在试验新型材料和晶体管,包括碳纳米管FET,它将比其他晶体管提供1.5倍到2倍的扩展密度,Mii说。新的低电阻材料可以减少40%的电阻,这将使性能大大增加,减少驱动信号所需的功率,并大大减少热量。他说:“较低的电阻率有可能通过增强互连性能来进一步扩大规模。”

在封装方面,台积电已经利用其集成扇出(InFO)技术进行了大批量生产,并且正在与客户合作,基于其晶片上衬底(CoWoS)技术,利用微凸块以及有机和硅衬垫,进行3D-ICs开发。

该公司还开发了高密度硅桥,还正在开发一种称为系统集成芯片(SoIC)的前端封装技术,该技术利用水平和垂直空间将芯粒嵌入其中。

英特尔

与台积电一样,英特尔将再推一个节点的finFET,计划2024年在2纳米阶段换成纳米片,也就是它所说的20A(20埃等于2纳米)。英特尔的GAA FET被称为RibbonFET。它还计划在2025年增加背面电力输送,也就是PowerVia,达到18A。

虽然英特尔计划在先进的工艺节点上实现平价或领先,但该公司对芯粒的推动及其在开发芯粒方面的经验,以及利用嵌入式多芯片互连桥(EMIB)技术将它们连接起来的经验值得关注。该公司还创造了Foveros的片对片堆叠技术,这是EMIB工艺的3D版本。

英特尔基本上创造了为客户定制设备的底盘,能够根据客户的需求,将不同的组件来回替换。而且它在2015年收购了Altera,随着算法和协议的变化,它提供了所需的灵活性,以延长这些异构解决方案的寿命。今年早些时候,它决定收购Tower Semiconductor,获取了一套专业和成熟节点的能力,可以应用到这些软件包中。

而且,该公司在代工服务方面进行了大量投资,以帮助客户开发定制解决方案。

“对于内部制造,我们确实在努力回到工艺技术的领先地位,未来四年内,我们将专注做多个节点,”英特尔副总裁兼产品和设计生态系统启用总经理Rahul Goyal说。“第二是外部制造。我们是一家产品公司,将利用任何对产品线和该产品制造最有利的东西。因此,作为一个外部代工厂,我们将努力实现这一目标。同时,我们也在做更多的前沿工作,从头开始建立代工厂。上一次化身为英特尔定制代工厂,这次我们特意称为英特尔代工服务,因为我们是一家服务企业。”

英特尔还通过《芯片法案》得到了美国政府的一些帮助,使其能够在俄亥俄州等地建立工厂,获得受过教育的劳动力,它还与美国军事、航空航天和政府联盟(USMAG)达成了一项协议,帮助其芯片设计和生产采用最先进的工艺技术。英特尔是三巨头中唯一一家位于美国的尖端代工厂,它将从地缘政治纷争和政府投资中获益。

可靠性

尽管所有这些先进制造和封装技术非常昂贵,人们对可靠性的担忧还在上升。它现在取决于一个电子表格的变量,从可能产生无声数据错误的制造缺陷到定位故障热点。

“过去,人们认为热量会从这些热区扩散到冷区,这样就能在芯片上得到均匀的功率分布,然后从外面均匀地使其冷却,”imec的Beyne说。“不幸的是,如果你等到热量扩散,温度就会过高。所以必须实施冷却,而随着冷却的增加,就能帮助这些热点局部化。而邻近的硅没有什么用处。它必须是垂直的,所以要采用更直接的冷却解决方案。”

制造工艺现在是巨大的材料科学挑战。一些材料需要溶解或融化,而另一些则需要保持完整,而且所有这些材料都需要在同一工艺步骤中处理,以确保工厂有足够的产量。

“现在的量已经足够大了,我们需要创建与清洁和缺陷测试相关的新程序,” Brewer Science公司的首席开发官Kim Arnold说。“这些材料需要能够承受400°C以上的高温稳定性。一旦能经受住这样的挑战或苛刻的化学品,其中一些残留物就更难以去除。这使我们开始着手在几年前从未真正预料到的其他计划活动。”

结论

从这个角度来看,过去的数据是相当直接的指标,谁能最快地迁移到下一个工艺节点,现在已经发展成错综复杂、内容庞杂的指标集,在不同的应用中情况各异。在某些情况下,这可能是一个简单的问题,即哪家代工厂无论何时都有足够的能力来满足市场的需求,而在其他情况下,这可能涉及一系列复杂的任务和材料组合,而这些都是没有先例的。

仅仅因为一家代工厂推出了3纳米或2纳米工艺,并不意味着它与另一家的工艺相同。

虽然扩展性仍然很重要,但它可能只适用于一个或多个包含在高级封装中的小型逻辑芯片,真正的优势在于整合所有不同部件所需的专业知识,或封装本身的设计。哪家代工厂能够为特定的应用或用例建立最好的分解SoC,当各个部分不一致,但所有的顶线方框都被选中时,就变得更加难以确定。性能和功率正在成为与应用相关的属性,有时甚至狭义地定义为单一客户的特定配置。

责编: 武守哲
来源:爱集微 #台积电# #英特尔# #三星#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...