消息称英特尔已加大先进封装设备和材料订单力度

来源:爱集微 #玻璃基板# #英特尔#
6966

业内人士透露,英特尔已经加大了对多家设备和材料供应商的订单,以发展基于玻璃基板技术的下一代先进封装,预计到2030年投入量产。

先进封装将在扩展摩尔定律方面发挥重要作用,因为它有可能增加晶体管密度并释放高性能计算的强大计算能力。

消息人士称,英特尔将先进封装视为在代工领域击败台积电的战略,该公司还与台积电在先进的3nm以下工艺生产领域展开竞争。

英特尔过去十年投资约10亿美元,在亚利桑那州工厂建立玻璃基板研发线和供应链,预计在2026至2030年推出完整的玻璃基板方案。

据英特尔称,玻璃基板具有卓越的机械、物理和光学特性,允许在封装中连接更多晶体管,从而比有机基板具有更大的可扩展性和更大的系统级封装。该公司计划在本十年后半段将完整的玻璃基板解决方案推向市场,从而使该行业能够将摩尔定律推向2030年以后。

玻璃基板受到了多家企业的关注及投资。三星集团子公司三星机电公司3月份宣布与三星电子和三星显示器等主要电子子公司建立联合研发(R&D)统一战线,研发玻璃基板,并将在2026年开始大规模量产,旨在比十年前进入玻璃基板研发的英特尔更快地实现商业化。而苹果正积极参与由玻璃基板制成的PCB。

(校对/刘昕炜)

责编: 刘洋
来源:爱集微 #玻璃基板# #英特尔#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...